Por qué los futuros diseños electrónicos podrían basarse en chiplets

Adam J. Fleischer
|  Creado: April 8, 2024
Por qué los futuros diseños electrónicos podrían basarse en chiplets

En el panorama siempre en evolución de la industria de semiconductores, está ocurriendo un cambio de las tradicionales arquitecturas de chips monolíticos hacia diseños más modulares basados en chiplets. Esta transición no es simplemente un cambio en la técnica de fabricación. Representa una evolución significativa en cómo la industria electrónica conceptualiza, diseña y entrega los componentes electrónicos que impulsan el mundo moderno. Las arquitecturas basadas en chiplets están emergiendo como una fuerza impulsora de innovación, ofreciendo un camino prometedor para continuar el crecimiento exponencial en el rendimiento de la computación en una era posterior a la Ley de Moore.

Entendiendo los Chiplets

En su núcleo, chiplets son pequeños componentes de semiconductores fabricados de manera independiente que, cuando se combinan dentro de un único paquete, operan de manera cohesiva para funcionar como un chip tradicional, singular. Esta desagregación permite un nivel de versatilidad y personalización previamente inalcanzable en diseños monolíticos. Al tratar estos chiplets como bloques de construcción, los diseñadores pueden crear sistemas altamente personalizados que cumplen con criterios de rendimiento específicos.

Ventajas Técnicas: Una de las ventajas más convincentes de los chiplets es su capacidad para sortear algunas de las limitaciones enfrentadas por la fabricación tradicional de chips, particularmente a medida que la industria de semiconductores se acerca a las limitaciones físicas de las tecnologías basadas en silicio. Los chiplets ofrecen un camino a seguir, permitiendo la continuación de mejoras en el rendimiento a través de otros medios que no sean solo el escalado de transistores. 

Los componentes basados en chiplets dedican diferentes funciones a dados específicos, y luego esos dados se empaquetan juntos en un componente.
Los componentes basados en chiplets dedican diferentes funciones a dados específicos, y luego esos dados se empaquetan juntos en un componente.

Los chiplets permiten que los sistemas sean más escalables y flexibles, acomodando avances tecnológicos rápidos sin necesitar un rediseño completo de un chip entero. Además, el rendimiento de los sistemas basados en chiplets tiene el potencial de ser significativamente más alto, ya que cada chiplet puede ser fabricado usando el proceso más adecuado para su función en lugar de un compromiso que se ajuste a todas las partes de un chip monolítico.

Eficiencia de Costo: En la fabricación de semiconductores, los factores económicos son tan cruciales como los técnicos. El desarrollo de chips monolíticos, especialmente en la vanguardia de la tecnología, está plagado de altos costos y riesgos sustanciales asociados con pérdidas de rendimiento. Los chips de silicio monolíticos grandes fabricados con procesos más avanzados tienen potencial para un menor rendimiento por un dado conteo de defectos; el enfoque de chiplet distribuye los defectos sobre un mayor número de chiplets y así aumenta el rendimiento por oblea.

Las Fuerzas Impulsoras Detrás de la Adopción de Chiplets

La Ley de Moore y Sus Limitaciones: La industria de semiconductores ha sido guiada durante mucho tiempo por la Ley de Moore, la observación de que el número de transistores en un chip se duplica aproximadamente cada dos años, lo que lleva a mejoras regulares en el rendimiento. Sin embargo, a medida que este ritmo de escalado se ralentiza debido a barreras técnicas y económicas, la industria se ve obligada a encontrar vías alternativas para el crecimiento. La tecnología de chiplets emerge como una solución convincente, ofreciendo un camino viable para continuar las mejoras de rendimiento a través de innovaciones arquitectónicas en lugar de depender de la perpetuidad de la Ley de Moore.

Complejidad y Especialización: La demanda de capacidades de procesamiento más complejas y especializadas está creciendo en todos los sectores, desde la inteligencia artificial (IA) y el análisis de grandes datos hasta la computación de alto rendimiento y el Internet de las Cosas (IoT). Las arquitecturas de chiplets abordan esta necesidad al permitir la combinación de unidades de procesamiento especializadas optimizadas para tareas específicas, resultando en sistemas más potentes y eficientes energéticamente.

Flexibilidad en la Cadena de Suministro y Fabricación: Las cadenas de suministro globales de semiconductores son cada vez más vulnerables a interrupciones por tensiones geopolíticas, disputas comerciales y eventos inesperados como pandemias. Las arquitecturas de chiplets pueden aliviar algunos de estos riesgos al permitir estrategias de fabricación más flexibles y resilientes. Dado que los chiplets pueden ser producidos y obtenidos de diferentes proveedores y ubicaciones, los fabricantes pueden mitigar el impacto de las interrupciones localizadas, asegurando un suministro más estable de componentes críticos.

Desafíos de la Arquitectura e Integración de Chiplets

Diseño e Integración: La promesa de los chiplets viene con desafíos significativos de diseño e integración. Crear un sistema cohesivo a partir de componentes dispares requiere tecnologías y metodologías de interconexión sofisticadas. Estas interconexiones deben soportar un alto ancho de banda y baja latencia para permitir que los chiplets se comuniquen efectivamente, igualando el rendimiento de un chip monolítico lo más cerca posible.

Pruebas y Fiabilidad: Asegurar la fiabilidad y el rendimiento de los sistemas basados en chiplets añade capas de complejidad al proceso de pruebas. Cada chiplet y sus interconexiones deben ser rigurosamente probados para cumplir con los estándares de calidad y fiabilidad para asegurar que el paquete de chiplets ensamblado final funcione como se pretende bajo todas las condiciones.

Desarrollo de Ecosistema y Estándares: La adopción generalizada de la tecnología de chiplets necesitará el desarrollo de un ecosistema robusto, incluyendo estándares universales para diseño, comunicación e integración. Establecer estos estándares es crítico para la interoperabilidad consistente entre chiplets de diferentes fabricantes, lo que fomentará la innovación y reducirá costos a través de economías de escala.

Ejemplos Reales de Chiplets

Aquí hay varios ejemplos de alto perfil de la potencialidad de la tecnología de chiplets siendo realizada hoy en día.

Procesadores AMD Ryzen y EPYC: El enfoque de AMD al usar chiplets en sus líneas de procesadores Ryzen y EPYC demuestra las significativas ganancias de rendimiento y eficiencia alcanzables con arquitecturas basadas en chiplets. La arquitectura de chiplets de AMD, introducida con su generación de procesadores Zen 2 y subsiguientes, utiliza múltiples chips más pequeños (chiplets) conectados a través de un interconector Infinity Fabric de alta velocidad. Este diseño permite a AMD escalar el rendimiento y el conteo de núcleos de manera eficiente mientras mantiene la rentabilidad y flexibilidad.

Intel EMIB: EMIB (Embedded Multi-die Interconnect Bridge) de Intel es un enfoque innovador para empaquetar diferentes dados de semiconductores (chiplets) en un solo paquete, permitiendo una comunicación de alta velocidad entre ellos. Esta tecnología permite la integración de chips heterogéneos – como CPUs, GPUs y memoria – en un solo paquete, optimizando el rendimiento y la eficiencia energética. 

Una aplicación notable de la tecnología EMIB es en los FPGAs Stratix 10 de Intel y los FPGAs Agilex, que atienden a aplicaciones que van desde centros de datos hasta infraestructura de red y sistemas embebidos. Utilizando EMIB, Intel entrega soluciones de computación de alto rendimiento personalizadas que satisfacen necesidades específicas de los clientes.

Versal ACAP: La serie Versal ACAP (Adaptive Compute Acceleration Platform) representa una categoría de dispositivos de computación heterogénea que combinan motores de procesamiento escalar, hardware adaptable y motores inteligentes con tecnologías de memoria e interfaz de vanguardia para ofrecer capacidades potentes y flexibles. 

La versatilidad y rendimiento de la serie Versal ACAP demuestran los beneficios de los diseños basados en chiplets. Estos productos altamente adaptables satisfacen las necesidades de una amplia gama de aplicaciones, desde aceleración de red y nube hasta computación embebida e inferencia de IA.

Mirando Más Allá del Horizonte

Más allá de estos ejemplos, la tecnología de chiplets está preparada para revolucionar industrias, incluyendo las telecomunicaciones para redes 5G, la electrónica automotriz para sistemas avanzados de asistencia al conductor (ADAS), e incluso la exploración espacial, donde los sistemas modulares y escalables son invaluables.

A medida que la industria de semiconductores lucha con los límites del escalado tradicional, los diseños basados en chiplets emergen como una alternativa poderosa, prometiendo impulsar la próxima ola de avances tecnológicos. Ofreciendo una flexibilidad inigualable, eficiencia de costos y la capacidad de adaptar el rendimiento a necesidades específicas, los chiplets representan un cambio significativo en la filosofía de diseño electrónico. Mientras nos encontramos al borde de esta nueva realidad, la disposición y capacidad de los diseñadores e ingenieros de la industria para adoptar y perfeccionar la tecnología de chiplets será crucial para dar forma al futuro de la electrónica.

Sobre el autor / Sobre la autora

Sobre el autor / Sobre la autora

Adam Fleischer is a principal at etimes.com, a technology marketing consultancy that works with technology leaders – like Microsoft, SAP, IBM, and Arrow Electronics – as well as with small high-growth companies. Adam has been a tech geek since programming a lunar landing game on a DEC mainframe as a kid. Adam founded and for a decade acted as CEO of E.ON Interactive, a boutique award-winning creative interactive design agency in Silicon Valley. He holds an MBA from Stanford’s Graduate School of Business and a B.A. from Columbia University. Adam also has a background in performance magic and is currently on the executive team organizing an international conference on how performance magic inspires creativity in technology and science. 

Recursos Relacionados

Documentación técnica relacionada

Volver a la Pàgina de Inicio
Thank you, you are now subscribed to updates.