Perché i futuri progetti elettronici potrebbero basarsi sui chiplet

Adam J. Fleischer
|  Creato: April 8, 2024
Perché i futuri progetti elettronici potrebbero basarsi sui chiplet

Nel panorama in continua evoluzione dell'industria dei semiconduttori, si sta verificando un passaggio dalle tradizionali architetture di chip monolitici verso design più modulari basati su chiplet. Questa transizione non rappresenta soltanto un cambiamento nella tecnica di produzione. Essa rappresenta un'evoluzione significativa nel modo in cui l'industria elettronica concettualizza, progetta e fornisce i componenti elettronici che guidano il mondo moderno. Le architetture basate su chiplet stanno emergendo come una forza trainante dell'innovazione, offrendo una promettente via per continuare la crescita esponenziale delle prestazioni di calcolo in un'era post-Legge di Moore.

Comprendere i Chiplet

Al loro nucleo, i chiplet sono piccoli componenti semiconduttori prodotti indipendentemente che – quando combinati all'interno di un unico pacchetto – operano coesivamente per funzionare come un chip tradizionale, singolare. Questa disaggregazione permette un livello di versatilità e personalizzazione precedentemente irraggiungibile nei design monolitici. Trattando questi chiplet come blocchi costruttivi, i progettisti possono creare sistemi altamente personalizzati che soddisfano criteri di prestazione specifici.

Vantaggi Tecnici: Uno dei vantaggi più convincenti dei chiplet è la loro capacità di superare alcune delle limitazioni affrontate dalla fabbricazione tradizionale di chip, in particolare man mano che l'industria dei semiconduttori si avvicina ai limiti fisici delle tecnologie basate su silicio. I chiplet offrono una via d'uscita, permettendo la continuazione dei miglioramenti delle prestazioni attraverso altri mezzi oltre alla semplice scala dei transistor. 

I componenti basati su chiplet dedicano diverse funzioni a specifici die, che poi vengono confezionati insieme in un componente.
I componenti basati su chiplet dedicano diverse funzioni a specifici die, che poi vengono confezionati insieme in un componente.

I chiplet consentono ai sistemi di essere più scalabili e flessibili, adattandosi rapidamente ai progressi tecnologici senza necessitare di una completa riprogettazione di un intero chip. Inoltre, le prestazioni dei sistemi basati su chiplet hanno il potenziale per essere significativamente più elevate, poiché ogni chiplet può essere fabbricato utilizzando il processo più adatto alla sua funzione piuttosto che un compromesso che si adatta a tutte le parti di un chip monolitico.

Efficienza dei Costi: Nella fabbricazione dei semiconduttori, i fattori economici sono tanto cruciali quanto quelli tecnici. Lo sviluppo di chip monolitici, specialmente all'avanguardia della tecnologia, è gravato da costi elevati e rischi sostanziali associati alle perdite di resa. Grandi chip di silicio monolitici fabbricati con processi più avanzati hanno il potenziale per una resa inferiore per un dato conteggio di difetti; l'approccio basato su chiplet distribuisce i difetti su un numero maggiore di chiplet e quindi aumenta la resa per wafer.

Le Forze Motrici dietro l'Adozione dei Chiplet

La Legge di Moore e i Suoi Limiti: L'industria dei semiconduttori è stata a lungo guidata dalla Legge di Moore, l'osservazione che il numero di transistor su un chip raddoppia approssimativamente ogni due anni, portando a regolari miglioramenti delle prestazioni. Tuttavia, man mano che questo ritmo di scaling rallenta a causa di barriere tecniche ed economiche, l'industria è costretta a trovare vie alternative per la crescita. La tecnologia dei chiplet emerge come una soluzione convincente, offrendo una via praticabile per continuare i miglioramenti delle prestazioni attraverso innovazioni architettoniche piuttosto che fare affidamento sulla perpetuità della Legge di Moore.

Complessità e Specializzazione: La domanda di capacità di elaborazione più complesse e specializzate è in crescita in tutti i settori, dall'intelligenza artificiale (AI) e l'analisi dei big data al calcolo ad alte prestazioni e l'Internet delle Cose (IoT). Le architetture basate su chiplet affrontano questa esigenza consentendo la combinazione di unità di elaborazione specializzate ottimizzate per compiti specifici, risultando in sistemi più potenti ed efficienti dal punto di vista energetico.

Flexibilità della Catena di Fornitura e della Produzione: Le catene di fornitura globali dei semiconduttori sono sempre più vulnerabili a interruzioni causate da tensioni geopolitiche, dispute commerciali ed eventi imprevisti come pandemie. Le architetture a chiplet possono alleviare alcuni di questi rischi consentendo strategie di produzione più flessibili e resilienti. Poiché i chiplet possono essere prodotti e forniti da diversi fornitori e località, i produttori possono mitigare l'impatto delle interruzioni localizzate, garantendo un approvvigionamento più stabile di componenti critici.

Sfide di Architettura e Integrazione dei Chiplet

Progettazione e Integrazione: La promessa dei chiplet comporta significative sfide di progettazione e integrazione. Creare un sistema coeso da componenti disparati richiede tecnologie e metodologie di interconnessione sofisticate. Queste interconnessioni devono supportare una larghezza di banda elevata e una bassa latenza per consentire ai chiplet di comunicare efficacemente, eguagliando il più possibile le prestazioni di un chip monolitico.

Test e Affidabilità: Garantire l'affidabilità e le prestazioni dei sistemi basati su chiplet aggiunge strati di complessità al processo di test. Ogni chiplet e le sue interconnessioni devono essere rigorosamente testati per soddisfare gli standard di qualità e affidabilità per garantire che il pacchetto di chiplet assemblato finale funzioni come previsto in tutte le condizioni.

Sviluppo di Ecosistema e Standard: L'adozione diffusa della tecnologia chiplet richiederà lo sviluppo di un robusto ecosistema, inclusi standard universali per progettazione, comunicazione e integrazione. Stabilire questi standard è critico per l'interoperabilità coerente tra chiplet di diversi produttori, il che favorirà l'innovazione e ridurrà i costi attraverso economie di scala.

Esempi Reali di Chiplet

Ecco alcuni esempi di alto profilo del potenziale della tecnologia chiplet che viene realizzato oggi.

Processori AMD Ryzen e EPYC: L'approccio di AMD all'uso dei chiplet nelle sue linee di processori Ryzen e EPYC dimostra i significativi guadagni di prestazione ed efficienza ottenibili con architetture basate su chiplet. L'architettura a chiplet di AMD, introdotta con la sua generazione di processori Zen 2 e successive, utilizza più chip più piccoli (chiplet) connessi tramite un'interconnessione ad alta velocità Infinity Fabric. Questo design consente ad AMD di scalare efficientemente prestazioni e numero di core mantenendo al contempo costi contenuti e flessibilità.

Intel EMIB: L'EMIB (Embedded Multi-die Interconnect Bridge) di Intel è un approccio innovativo al packaging di diversi die di semiconduttori (chiplet) in un unico pacchetto, consentendo una comunicazione ad alta velocità tra di loro. Questa tecnologia consente l'integrazione di chip eterogenei – come CPU, GPU e memoria – in un unico pacchetto, ottimizzando prestazioni ed efficienza energetica.

Una notevole applicazione della tecnologia EMIB è rappresentata dai FPGA Stratix 10 di Intel e dai FPGA Agilex, che si rivolgono ad applicazioni che vanno dai data center all'infrastruttura di rete e ai sistemi embedded. Utilizzando EMIB, Intel fornisce soluzioni di computing ad alte prestazioni personalizzate che soddisfano le specifiche esigenze dei clienti.

Versal ACAP: La serie Versal ACAP (Adaptive Compute Acceleration Platform) rappresenta una categoria di dispositivi di calcolo eterogenei che combinano motori di elaborazione scalari, hardware adattabile e motori intelligenti con tecnologie di memoria e interfaccia all'avanguardia per offrire capacità potenti e flessibili.

La versatilità e le prestazioni della serie Versal ACAP dimostrano i benefici dei design basati su chiplet. Questi prodotti altamente adattabili soddisfano le esigenze di una vasta gamma di applicazioni, dall'accelerazione di rete e cloud al computing embedded e all'inferenza AI.

Guardando Oltre l'Orizzonte

Oltre a questi esempi, la tecnologia dei chiplet è pronta a rivoluzionare settori, inclusi le telecomunicazioni per le reti 5G, l'elettronica automobilistica per i sistemi avanzati di assistenza alla guida (ADAS), e persino l'esplorazione spaziale, dove sistemi modulari e scalabili sono inestimabili.

Mentre l'industria dei semiconduttori si confronta con i limiti dello scaling tradizionale, i progetti basati su chiplet stanno emergendo come un'alternativa potente, promettendo di guidare la prossima ondata di avanzamenti tecnologici. Offrendo una flessibilità senza pari, efficienza dei costi e la capacità di adattare le prestazioni alle esigenze specifiche, i chiplet rappresentano un cambiamento significativo nella filosofia del design elettronico. Mentre ci troviamo sull'orlo di questa nuova realtà, la volontà e la capacità dei progettisti e degli ingegneri del settore di abbracciare e perfezionare la tecnologia dei chiplet sarà cruciale nel plasmare il futuro dell'elettronica.

Sull'Autore

Sull'Autore

Adam Fleischer is a principal at etimes.com, a technology marketing consultancy that works with technology leaders – like Microsoft, SAP, IBM, and Arrow Electronics – as well as with small high-growth companies. Adam has been a tech geek since programming a lunar landing game on a DEC mainframe as a kid. Adam founded and for a decade acted as CEO of E.ON Interactive, a boutique award-winning creative interactive design agency in Silicon Valley. He holds an MBA from Stanford’s Graduate School of Business and a B.A. from Columbia University. Adam also has a background in performance magic and is currently on the executive team organizing an international conference on how performance magic inspires creativity in technology and science. 

Risorse correlate

Documentazione Tecnica Correlata

Tornare alla Pagina Iniziale
Thank you, you are now subscribed to updates.