Por Que os Futuros Projetos Eletrônicos Podem Ser Baseados em Chiplets

Adam J. Fleischer
|  Criada: April 8, 2024
Por Que os Futuros Projetos Eletrônicos Podem Ser Baseados em Chiplets

Na paisagem sempre em evolução da indústria de semicondutores, está ocorrendo uma mudança das tradicionais arquiteturas de chip monolíticas para designs mais modulares, baseados em chiplets. Essa transição não é apenas uma mudança na técnica de fabricação. Ela representa uma evolução significativa na forma como a indústria eletrônica conceitua, projeta e entrega os componentes eletrônicos que impulsionam o mundo moderno. As arquiteturas baseadas em chiplets estão emergindo como uma força motriz da inovação, oferecendo um caminho promissor para continuar o crescimento exponencial no desempenho computacional em uma era pós-Lei de Moore.

Entendendo os Chiplets

No seu núcleo, chiplets são pequenos componentes semicondutores fabricados independentemente que – quando combinados dentro de um único pacote – operam de forma coesa para funcionar como um chip tradicional, singular. Essa desagregação permite um nível de versatilidade e personalização anteriormente inatingível em designs monolíticos. Tratando esses chiplets como blocos de construção, os designers podem criar sistemas altamente personalizados que atendem a critérios de desempenho específicos.

Vantagens Técnicas: Uma das vantagens mais convincentes dos chiplets é a sua capacidade de contornar algumas das limitações enfrentadas pela fabricação tradicional de chips, especialmente à medida que a indústria de semicondutores se aproxima das limitações físicas das tecnologias baseadas em silício. Os chiplets oferecem um caminho a seguir, permitindo a continuação das melhorias de desempenho por outros meios que não apenas a escala de transistores. 

Componentes baseados em chiplets dedicam diferentes funções a dies específicos, e então esses dies são embalados juntos em um componente.
Componentes baseados em chiplets dedicam diferentes funções a dies específicos, e então esses dies são embalados juntos em um componente.

Os chiplets permitem que os sistemas sejam mais escaláveis e flexíveis, acomodando avanços tecnológicos rápidos sem a necessidade de um redesenho completo de um chip inteiro. Além disso, o desempenho dos sistemas baseados em chiplets tem o potencial de ser significativamente maior, já que cada chiplet pode ser fabricado usando o processo mais adequado para sua função, em vez de um compromisso que se ajuste a todas as partes de um chip monolítico.

Eficiência de Custo: Na fabricação de semicondutores, os fatores econômicos são tão cruciais quanto os técnicos. O desenvolvimento de chips monolíticos, especialmente na vanguarda da tecnologia, é repleto de altos custos e riscos substanciais associados a perdas de rendimento. Chips de silício monolíticos grandes fabricados com processos mais avançados têm potencial para menor rendimento para uma determinada contagem de defeitos; a abordagem de chiplet distribui defeitos por um número maior de chiplets e, assim, aumenta o rendimento por wafer.

As Forças Motrizes por Trás da Adoção de Chiplets

A Lei de Moore e Suas Limitações: A indústria de semicondutores há muito é guiada pela Lei de Moore, a observação de que o número de transistores em um chip dobra aproximadamente a cada dois anos, levando a melhorias regulares de desempenho. No entanto, à medida que esse ritmo de escalonamento diminui devido a barreiras técnicas e econômicas, a indústria é compelida a encontrar vias alternativas para o crescimento. A tecnologia de chiplets emerge como uma solução convincente, oferecendo um caminho viável para continuar as melhorias de desempenho por meio de inovações arquitetônicas em vez de depender da perpetuidade da Lei de Moore.

Complexidade e Especialização: A demanda por capacidades de processamento mais complexas e especializadas está crescendo em todos os setores, desde inteligência artificial (IA) e análise de big data até computação de alto desempenho e a Internet das Coisas (IoT). As arquiteturas de chiplets atendem a essa necessidade, permitindo a combinação de unidades de processamento especializadas otimizadas para tarefas específicas, resultando em sistemas mais poderosos e eficientes em termos de energia.

Flexibilidade da Cadeia de Suprimentos e Manufatura: As cadeias de suprimentos globais de semicondutores estão cada vez mais vulneráveis a interrupções devido a tensões geopolíticas, disputas comerciais e eventos inesperados como pandemias. As arquiteturas de chiplets podem aliviar alguns desses riscos ao possibilitar estratégias de fabricação mais flexíveis e resilientes. Uma vez que os chiplets podem ser produzidos e fornecidos por diferentes fornecedores e locais, os fabricantes podem mitigar o impacto de interrupções localizadas, garantindo um fornecimento mais estável de componentes críticos.

Desafios da Arquitetura e Integração de Chiplets

Design e Integração: A promessa dos chiplets vem com desafios significativos de design e integração. Criar um sistema coeso a partir de componentes distintos requer tecnologias e metodologias de interconexão sofisticadas. Essas interconexões devem suportar alta largura de banda e baixa latência para permitir que os chiplets se comuniquem efetivamente, aproximando o desempenho de um chip monolítico o máximo possível.

Testes e Confiabilidade: Garantir a confiabilidade e o desempenho de sistemas baseados em chiplets adiciona camadas de complexidade ao processo de teste. Cada chiplet e suas interconexões devem ser rigorosamente testados para atender aos padrões de qualidade e confiabilidade, a fim de garantir que o pacote de chiplets montado final funcione conforme o pretendido em todas as condições.

Desenvolvimento de Ecossistema e Padrões: A adoção generalizada da tecnologia de chiplets necessitará do desenvolvimento de um ecossistema robusto, incluindo padrões universais para design, comunicação e integração. Estabelecer esses padrões é crítico para a interoperabilidade consistente entre chiplets de diferentes fabricantes, o que fomentará a inovação e reduzirá custos por meio de economias de escala.

Exemplos Reais de Chiplets

Aqui estão vários exemplos de alto perfil do potencial da tecnologia de chiplets sendo realizado hoje.

Processadores AMD Ryzen e EPYC: A abordagem da AMD ao usar chiplets em suas linhas de processadores Ryzen e EPYC demonstra os ganhos significativos de desempenho e eficiência alcançáveis com arquiteturas baseadas em chiplets. A arquitetura de chiplets da AMD, introduzida com sua geração Zen 2 e subsequentes, utiliza vários chips menores (chiplets) conectados através de um interconector Infinity Fabric de alta velocidade. Esse design permite que a AMD escale o desempenho e a contagem de núcleos de forma eficiente, mantendo a relação custo-benefício e flexibilidade.

Intel EMIB: A EMIB (Embedded Multi-die Interconnect Bridge) da Intel é uma abordagem inovadora para embalar diferentes dies de semicondutores (chiplets) em um único pacote, permitindo comunicação de alta velocidade entre eles. Esta tecnologia possibilita a integração de chips heterogêneos – como CPUs, GPUs e memória – em um único pacote, otimizando desempenho e eficiência energética.

Uma aplicação notável da tecnologia EMIB é nos FPGAs Stratix 10 e FPGAs Agilex da Intel, que atendem a aplicações que vão desde centros de dados até infraestrutura de rede e sistemas embarcados. Usando EMIB, a Intel entrega soluções de computação de alto desempenho personalizadas que atendem às necessidades específicas dos clientes.

Versal ACAP: A série Versal ACAP (Adaptive Compute Acceleration Platform) representa uma categoria de dispositivos de computação heterogênea que combinam motores de processamento escalar, hardware adaptável e motores inteligentes com tecnologias de memória e interface de ponta para oferecer capacidades poderosas e flexíveis.

A versatilidade e o desempenho da série Versal ACAP demonstram os benefícios dos designs baseados em chiplets. Estes produtos altamente adaptáveis atendem às necessidades de uma ampla gama de aplicações, desde aceleração de rede e nuvem até computação embarcada e inferência de IA.

Olhando Além do Horizonte

Além desses exemplos, a tecnologia de chiplets está pronta para revolucionar indústrias, incluindo telecomunicações para redes 5G, eletrônicos automotivos para sistemas avançados de assistência ao condutor (ADAS), e até mesmo a exploração espacial, onde sistemas modulares e escaláveis são inestimáveis.

À medida que a indústria de semicondutores luta com os limites do escalonamento tradicional, designs baseados em chiplets estão emergindo como uma alternativa poderosa, prometendo impulsionar a próxima onda de avanços tecnológicos. Oferecendo flexibilidade incomparável, eficiência de custo e a capacidade de adequar o desempenho às necessidades específicas, os chiplets representam uma mudança significativa na filosofia de design eletrônico. À medida que nos encontramos à beira desta nova realidade, a disposição e capacidade dos designers e engenheiros da indústria de abraçar e refinar a tecnologia de chiplets será crucial para moldar o futuro da eletrônica.

Sobre o autor

Sobre o autor

Adam Fleischer is a principal at etimes.com, a technology marketing consultancy that works with technology leaders – like Microsoft, SAP, IBM, and Arrow Electronics – as well as with small high-growth companies. Adam has been a tech geek since programming a lunar landing game on a DEC mainframe as a kid. Adam founded and for a decade acted as CEO of E.ON Interactive, a boutique award-winning creative interactive design agency in Silicon Valley. He holds an MBA from Stanford’s Graduate School of Business and a B.A. from Columbia University. Adam also has a background in performance magic and is currently on the executive team organizing an international conference on how performance magic inspires creativity in technology and science. 

Recursos relacionados

Documentação técnica relacionada

Retornar a página inicial
Thank you, you are now subscribed to updates.